Zakładka z wyszukiwarką danych komponentów
  Polish  ▼
ALLDATASHEET.PL

X  

ST486DX Arkusz danych(PDF) 4 Page - STMicroelectronics

Numer części ST486DX
Szczegółowy opis  ST 486 DX ASIC CORE
Download  8 Pages
Scroll/Zoom Zoom In 100%  Zoom Out
Producent  STMICROELECTRONICS [STMicroelectronics]
Strona internetowa  http://www.st.com
Logo STMICROELECTRONICS - STMicroelectronics

ST486DX Arkusz danych(HTML) 4 Page - STMicroelectronics

  ST486DX Datasheet HTML 1Page - STMicroelectronics ST486DX Datasheet HTML 2Page - STMicroelectronics ST486DX Datasheet HTML 3Page - STMicroelectronics ST486DX Datasheet HTML 4Page - STMicroelectronics ST486DX Datasheet HTML 5Page - STMicroelectronics ST486DX Datasheet HTML 6Page - STMicroelectronics ST486DX Datasheet HTML 7Page - STMicroelectronics ST486DX Datasheet HTML 8Page - STMicroelectronics  
Zoom Inzoom in Zoom Outzoom out
 4 / 8 page
background image
ST 486 DX ASIC CORE
4/8
®
Examples include mixed mode cells for graphics,
DAC/ADC’s (4-9 bit), PLL applications, and Digital
Signal Processor functions for cellular comms, fax
and
high-speed
modem.
100
Mbps
serial
transputer links coupled with large and fast
memory can be used for pipelining, caching and
synchro
circuits in
modern
CISC
computing
architecture.
Viterbi and Reed Solomon cores aim at the HDTV
and satellite transmission markets. To support
telecom needs for CCITT standard applications,
ADPCM cells supporting CT2 protocol have been
developed. MPEG2 decoders interfacing directly
to the system memory are ideal for settop and
cable applications.
DESIGN FOR TESTABILITY
Using the internal test modes of the 486 core,
accessed through special test logic, the core
module can be thoroughly tested in ‘stand alone’
mode at both wafer sort and packaged die test.
The
HCMOS
6
library
supports
the
JTAG
boundary Scan and both edge and level sensitive
scan
design
techniques
by
providing
the
necessary macrocells. Scan testing aids device
testability by permitting access to internal nodes
without requiring a separate external connection
for each node accessed. Testability is assured at
device level with the close coupling of LSSD latch
elements, Automatic Test Pattern Generation
(ATPG) and high pattern depth tester architecture.
At system level, SGS-THOMSON fully supports
IEEE 1149.1. Several types of core scan cells are
provided in the HCMOS 6 library.
PACKAGE AVAILABILITY
The HCMOS 6 library is designed to be compatible
with QFP and BGA package types, in addition to
the more traditional types of package.
The options include Quad Flat Pack (xQFP)
offering ranges up to 304 pins.
Both high
performance and high power variants are available
as well as the TQFP thin types.
Ball Grid Array (BGA) packages are available from
160 to 500 pins.
Pin counts for through board mounting range up to
299. For higher pin counts the range is compatible
with the industry standard JEDEC and EIA-J
Guardring Quad Flatpack (GQPF) with pin counts
from 186 to 304.
The diversity in pin count and package style gives
the designer the opportunity to find the best
compromise
for
system
size,
cost
and
performance requirements.
DESIGN ENVIRONMENT
Several interface levels are possible between
SGS-THOMSON
and
the
customer
in
the
undertaking of a 486 Core design. The four levels
of interface are shown in Figure 3. Level 1 is
characterized by SGS-THOMSON receiving the
system specification and taking the design through
to validation and fabrication. At level 2 interface
the designer supplies a simulated netlist at the
RTL HDL level. SGS-THOMSON then takes the
design through synthesis and gate level simulation
to layout, validation and fabrication.
Level 3 the designer completes the design to final
gate level simulation. The design is then taken
through layout, validation and fabrication by SGS-
THOMSON.
At level 4 the designer completes all of the design
and layout and supplies the design database to
SGS-THOMSON
in
GDS
2
format.
SGS-
THOMSON will then complete LVS and DRC and
generate the PG tape for mask generation and
fabrication.
TECHNOLOGY
For this product, a high performance, low voltage,
five level metal, salicided poly and diffusion
HCMOS 0.35 micron process has been used to
achieve sub-nanosecond internal speeds while
offering very low power dissipation and high noise
immunity.
Its fabrication involve more than 140 elementary
operations,
including
selective
tungsten vias,
plasma interment dielectric deposition and CMP
(Chemical-Mechanical
Planarization)
for
the
incrementally oxides.
METHODOLOGY
The
design
environment
for
x86
embedded
products
has
been
designed
for
maximum
flexibility and reliability, and has been based on
typical ASIC like design flows using HDL and
Synthesis methodologies.


Podobny numer części - ST486DX

ProducentNumer częściArkusz danychSzczegółowy opis
logo
STMicroelectronics
ST486DX STMICROELECTRONICS-ST486DX Datasheet
156Kb / 34P
   PROGRAMMING MANUAL
More results

Podobny opis - ST486DX

ProducentNumer częściArkusz danychSzczegółowy opis
logo
ATMEL Corporation
TWI2 ATMEL-TWI2 Datasheet
73Kb / 4P
   32-bit Embedded ASIC Core Peripheral
ATL25 ATMEL-ATL25 Datasheet
232Kb / 14P
   ASIC
logo
Intel Corporation
UG80486DX4WB100 INTEL-UG80486DX4WB100 Datasheet
18Mb / 234P
   Intel486 DX MICROPROCESSOR
logo
Agilent(Hewlett-Packard...
PR7000-1421 HP-PR7000-1421 Datasheet
249Kb / 2P
   CFTR MASTR Dx
logo
Texas Instruments
NS486SXF TI1-NS486SXF Datasheet
482Kb / 46P
[Old version datasheet]   Optimized 32-Bit 486-Class Controller
logo
List of Unclassifed Man...
ET9000 ETC2-ET9000 Datasheet
2Mb / 46P
   486 WRITE BACK CACHE "AT" SINGLE CHIP
M1EN ETC1-M1EN Datasheet
44Kb / 6P
   CMOS ASIC encoder
CX5000 ETC1-CX5000 Datasheet
92Kb / 6P
   0.18um Structured ASIC
logo
ATMEL Corporation
ATC35 ATMEL-ATC35 Datasheet
110Kb / 14P
   Cell-based ASIC
ATC13 ATMEL-ATC13 Datasheet
143Kb / 12P
   Cell-based ASIC
More results


Html Pages

1 2 3 4 5 6 7 8


Arkusz danych Pobierz

Go To PDF Page


Link URL




Polityka prywatności
ALLDATASHEET.PL
Czy Alldatasheet okazała się pomocna?  [ DONATE ] 

O Alldatasheet   |   Reklama   |   Kontakt   |   Polityka prywatności   |   Linki   |   Lista producentów
All Rights Reserved©Alldatasheet.com


Mirror Sites
English : Alldatasheet.com  |   English : Alldatasheet.net  |   Chinese : Alldatasheetcn.com  |   German : Alldatasheetde.com  |   Japanese : Alldatasheet.jp
Russian : Alldatasheetru.com  |   Korean : Alldatasheet.co.kr  |   Spanish : Alldatasheet.es  |   French : Alldatasheet.fr  |   Italian : Alldatasheetit.com
Portuguese : Alldatasheetpt.com  |   Polish : Alldatasheet.pl  |   Vietnamese : Alldatasheet.vn
Indian : Alldatasheet.in  |   Mexican : Alldatasheet.com.mx  |   British : Alldatasheet.co.uk  |   New Zealand : Alldatasheet.co.nz
Family Site : ic2ic.com  |   icmetro.com